Seguici su

Intelligenza artificiale

Intel Innovation 2023: l’intelligenza artificiale al centro dell’innovazione tecnologica

Intel Innovation 2023: guidando l’innovazione tecnologica attraverso l’IA, il silicio avanzato e un futuro brillante per gli sviluppatori

Avatar

Pubblicato

il

Intel -Glass substrate (© Ufficio Stampa)
Intel - Glass substrate (© Ufficio Stampa)

Nel corso dell’edizione 2023 di Intel Innovation, l’azienda ha svelato una serie di tecnologie all’avanguardia che promettono di rivoluzionare il panorama tecnologico, rendendo l’intelligenza artificiale più accessibile e potente che mai. In questo articolo, esploreremo le principali novità presentate da Intel, dai progressi nella tecnologia del silicio alla nuova era dei processori Intel Core Ultra, passando per le opportunità offerte agli sviluppatori.

Progressi nel silicio e tecnologia di packaging

Intel ha fatto passi da gigante nell’innovazione della produzione dei semiconduttori. Il programma di sviluppo delle tecnologie di processo ha portato alla produzione su larga scala di Intel 7, con Intel 4 in arrivo e Intel 3 previsto per la fine dell’anno. Inoltre, Intel 20A, il primo nodo di processo a includere PowerVia e RibbonFET, sta rivoluzionando il settore. L’introduzione dei substrati di vetro promette di estendere la legge di Moore ben oltre il 2030, consentendo prestazioni sempre più avanzate per l’intelligenza artificiale.

Package Multi-Chiplet e standard aperti

Intel sta aprendo la strada alla prossima ondata della Legge di Moore attraverso l’implementazione di nuovi materiali e tecnologie di packaging, come i substrati di vetro. Inoltre, il supporto a standard aperti come Universal Chiplet Interconnect Express (UCIe) sta agevolando l’integrazione di chiplet da diversi fornitori, consentendo nuovi progetti per l’espansione dei carichi di lavoro AI. Questo approccio aperto è sostenuto da oltre 120 aziende, dimostrando la volontà di Intel di promuovere la collaborazione e l’innovazione.

Aumento delle prestazioni e diffusione dell’AI

Intel è al centro dell’accelerazione dell’intelligenza artificiale con risultati impressionanti nelle prestazioni dell’inferenza AI. L’acceleratore Intel Gaudi2 è emerso come l’opzione leader per il calcolo AI, e un grande supercomputer AI basato su processori Intel Xeon e acceleratori hardware Intel Gaudi2 AI è in arrivo. Questo rappresenta un passo avanti significativo nella diffusione dell’AI, con il CTO di Alibaba Cloud che conferma notevoli miglioramenti nelle prestazioni grazie ai processori Intel Xeon di quarta generazione.

Nuova generazione di processori Intel Xeon

Intel ha svelato la nuova generazione di processori Intel Xeon, che promettono una combinazione di prestazioni migliorate e una memoria più veloce, mantenendo allo stesso tempo l’efficienza energetica. Sierra Forest e Granite Rapids promettono prestazioni eccezionali per l’intelligenza artificiale, offrendo una densità di rack superiore e prestazioni per Watt nettamente superiori rispetto alle generazioni precedenti. Con Clearwater Forest, in arrivo nel 2025, Intel continua a spingere l’innovazione nel settore dei processori.

I processori Intel Core Ultra rivoluzionano il PC

Intel sta introducendo i processori Intel Core Ultra, noti come Meteor Lake, che includono la prima NPU integrata di Intel per l’accelerazione AI a basso consumo energetico e l’inferenza locale sui PC. Questi processori rappresentano una svolta nell’evoluzione dei processori client di Intel e promettono prestazioni di grafica discrete eccezionali grazie alla grafica Intel Arc integrata. Questo apre la strada a nuove esperienze personali potenziate dall’IA nei PC, con Acer che presenta un laptop alimentato da Core Ultra.

Gli sviluppatori al centro della Siliconomy

Intel si impegna a offrire agli sviluppatori strumenti e risorse per sbloccare il potenziale dell’intelligenza artificiale. Con la disponibilità generale della Intel Developer Cloud, gli sviluppatori possono accedere alle ultime innovazioni hardware e software Intel per accelerare lo sviluppo di applicazioni AI e HPC. Il lancio del toolkit OpenVINO nel 2023 offrirà ulteriori strumenti per l’integrazione dell’IA in una varietà di applicazioni. Inoltre, con Project Strata, Intel mira a creare una piattaforma edge nativa che consentirà agli sviluppatori di creare, distribuire e gestire infrastrutture e applicazioni edge distribuite in modo flessibile.

Clicca per commentare

Tu cosa ne pensi?

Il tuo indirizzo email non sarà pubblicato. I campi obbligatori sono contrassegnati *